Problem running systemC in Modelsim

Y

Yan Syafri Hidayat

Guest
Hi All,

I got a problem running systemC in Modelsim. I'm using Modelsim 6.2j
Ubuntu Linux platform x86_64. The modelsim-gcc plugin, which is
gcc-4.0.2-linux_x86_64, was already installed.

I managed to compile all codes, but failed when linked it (sccom -link).

It came up with error message:

/usr/lib/../lib64/libm.so: file not recognized: File format not recognized
collect2: ld returned 1 exit status
** Error: (sccom-6126) Linking failed. Creation of work/systemc.so failed.

Am I missing something?

Regards,
--
Yan SH
 

Welcome to EDABoard.com

Sponsor

Back
Top