Configuration vhdl

S

Sudip Saha

Guest
Hi All, <BR>
I need help regarding use of configuration in vhdl. In my design, <BR>
one entity has got multiple architectures. Through Configuration I am trying to bind a partcular architecture. But it seems that it always taking the last compiled architecture and doing default binding. I am using cadence NCSIM SIMULATOR. <p>where the problem might be? If anyone has used Ncvhdl/ncelab can you tell me whether I need to set a flag for using this configuration? <BR>
&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;Sudip Saha.
 
Sudip Saha wrote:
Hi All,
I need help regarding use of configuration in vhdl. In my design,
one entity has got multiple architectures. Through Configuration I am
trying to bind a partcular architecture. But it seems that it always
taking the last compiled architecture and doing default binding. I am
using cadence NCSIM SIMULATOR.

With modelsim, you have to invoke the configuration by name,
and not mention the entity/architecture names directly.
The configuration must be compiled into the same directory.

-- Mike Treseler
 

Welcome to EDABoard.com

Sponsor

Back
Top