where to find DCT/IDCT for JPEG/JPEG2000 VHDL/VERILOG source

W

walala

Guest
Dear all,

I am studying VHDL now... particularly I am interested in multimedia
processing using VHDL or Verilog... Can anybody point me to some resources
on how to implement DCT/IDCT for JPEG/JPEG2000 using VHDL/VERILOG?

Thanks a lot,

-Walala
 

Welcome to EDABoard.com

Sponsor

Back
Top