VHDL Prettifier for Windows

M

Matt

Guest
Anyone know of a VHDL code prettifier for windows? The best thing I have
seen so far is the extension for emacs, which works, but adds spaces rather
than tabs, making the code a pain to edit afterward.

~ Matt
 
"Matt" <aq581@tcnet.org> writes:

Anyone know of a VHDL code prettifier for windows? The best thing I have
seen so far is the extension for emacs, which works, but adds spaces rather
than tabs, making the code a pain to edit afterward.
Not if you edit it in Emacs :) It then treats them as tabs while
editing...

Cheers,
Martin

--
martin.j.thompson@trw.com
TRW Conekt, Solihull, UK
http://www.trw.com/conekt
 
Martin Thompson <martin.j.thompson@trw.com> writes:

"Matt" <aq581@tcnet.org> writes:

Anyone know of a VHDL code prettifier for windows? The best thing I have
seen so far is the extension for emacs, which works, but adds spaces rather
than tabs, making the code a pain to edit afterward.

Not if you edit it in Emacs :) It then treats them as tabs while
editing...
You can also set 'Vhdl Indent Tabs Mode' to 'on' (VHDL | Options |
Mode), or you could call M-x tabify by hand before saving the file.

HTH,
Colin
 
I don't see such a menu option in what I am using, and I think I exhausted
the menus searching through them. I tried the tabify command but emacs only
indents 2 spaces, so they don't turn to tabs. Maybe I'll do some more
messing with that later.

I did download version 21.3 which I believe is the newest as of right now.
I like the side panel showing all the .vhd files. Now if only it'd put them
in heirarchical format I'd just use emacs as my editor rather than Webpack
from Xilinx.

~ Matt

"Colin Marquardt" <c.marquardt@alcatel.de> wrote in message
news:k8z3cg5rb3i.fsf@sls3v8.stgl.sel.alcatel.de...
Martin Thompson <martin.j.thompson@trw.com> writes:

"Matt" <aq581@tcnet.org> writes:

Anyone know of a VHDL code prettifier for windows? The best thing I
have
seen so far is the extension for emacs, which works, but adds spaces
rather
than tabs, making the code a pain to edit afterward.

Not if you edit it in Emacs :) It then treats them as tabs while
editing...

You can also set 'Vhdl Indent Tabs Mode' to 'on' (VHDL | Options |
Mode), or you could call M-x tabify by hand before saving the file.

HTH,
Colin
 
In article <bhbo43$mg4$1@msunews.cl.msu.edu>, Matt
<aq581@tcnet.org> writes
Anyone know of a VHDL code prettifier for windows? The best thing I have
seen so far is the extension for emacs, which works, but adds spaces rather
than tabs, making the code a pain to edit afterward.

~ Matt
If you are not a fan of emacs, you may want to give Ultraedit a trial
download. It supports the pretties you want & it's what I use for all my vhdl
editing. You need to download a "wordfile" for vhdl or vhdl93 which it will
use for syntax highlighting. Probably less powerful than emacs in terms of
text completions, but still my fave.

Oh, but it is $35 . . .

HTH
--
fred
 

Welcome to EDABoard.com

Sponsor

Back
Top