simulation(error in loading design)

Guest
currently i'm doing a small code in verilog as a part of assignment.when i compiled the code,it ran sucessfully also the test bench file ran sucessfully.But the thing is when i click on simulation-->work ,in this work option i am not able to get my test bench file which is for simulation.Some one please help me with this.
 

Welcome to EDABoard.com

Sponsor

Back
Top