signalscan view(modelsim) - content of memory array

P

pradeep

Guest
hi,

in my coding i have used memory declaration

reg [3:0] myram[15:0],

during simulation(ncsim, signalscan waveform) i am not able to add
this memory to the waveform viewer,

is there any other way to see the content of the memory

i also face the same problem with modelsim

with regards
G.Pradeep.
 

Welcome to EDABoard.com

Sponsor

Back
Top