ModelSim Error Msg

Y

Yogi V.

Guest
Hi - I am a newbie ModelSim 5.6 SE user and am trying to troubleshoot
this error -
______________________________________________
# -- Loading package standard
# ** Error: (vcom-19) Failed to access library 'unisim' at "unisim".
# No such file or directory.
# ERROR: ../simulation/system_init.vhd(5): Library unisim not found.
# ERROR: ../simulation/system_init.vhd(7): VHDL Compiler exiting
# ERROR: C:/Modeltech_5.6/win32/vcom failed.
# Error in macro ./system.do line 3
___________________________________________


# My system.do file is :
________________________
do system_init.do

vsim -c system_conf
________________________


Any help/pointers are highly appreciated.

Thanks.

ModelSim 5.6 SE
ISE 5.2i
XPD 5.2i running EDK 3.2
 
"Yogi V." <queryplease@netscape.net> wrote in
message news:3F01999F.60609@netscape.net...
Hi - I am a newbie ModelSim 5.6 SE user and am trying to troubleshoot
this error -
______________________________________________
# -- Loading package standard
# ** Error: (vcom-19) Failed to access library 'unisim' at "unisim".
# No such file or directory.
# ERROR: ../simulation/system_init.vhd(5): Library unisim not found.
# ERROR: ../simulation/system_init.vhd(7): VHDL Compiler exiting
# ERROR: C:/Modeltech_5.6/win32/vcom failed.
# Error in macro ./system.do line 3
___________________________________________
You have not yet created a mapping from logical library name
"unisim" to the correct physical directory, so ModelSim guesses
that you have put the unisim library in a directory "unisim"
below the current working directory. But there is no such
directory, or if there is, it doesn't contain a valid library.

# My system.do file is :
do system_init.do
vsim -c system_conf
That doesn't help us a whole lot unless we know what's in
system_init.do

Check on how your unisim library has been compiled, and then
issue the appropriate "vmap" command to ModelSim so that it
knows where to find it. Once you've done this, ModelSim will
update its modelsim.ini file in the current working directory
and everything will be alright the next time you try to run it.

Alternatively, if you don't yet have a compiled unisim library,
you need to make one:

vlib unisim_library ------ make the physical library
vmap unisim unisim_library ------ tell ModelSim its name
vcom -work unisim <all the Unisim source files>

HTH
--
Jonathan Bromley, Consultant

DOULOS - Developing Design Know-how
VHDL * Verilog * SystemC * Perl * Tcl/Tk * Verification * Project Services

Doulos Ltd. Church Hatch, 22 Market Place, Ringwood, Hampshire, BH24 1AW, UK
Tel: +44 (0)1425 471223 mail: jonathan.bromley@doulos.com
Fax: +44 (0)1425 471573 Web: http://www.doulos.com

The contents of this message may contain personal views which
are not the views of Doulos Ltd., unless specifically stated.
 
<!doctype html public "-//w3c//dtd html 4.0 transitional//en">
<html>
This looks like the XILINX EDK flow.  The unisims and simprims libraries
are set in the MVS file (if using the the XPS GUI this file will be written
by XPS and the location of unisim and simprim are set through the GUI). 
This is then written into the top of the system_comp.do in my case in system_comp.do
I see
<blockquote>vmap -c unisim /home/libs/installed_sol/unisim
<br>vmap -c simprim /home/libs/installed_sol/simprim&lt;/blockquote&gt;
System_comp.do is called by system_init.do.&amp;nbsp; This is true for more
recent versions of EDK if memory serves the flow changed - previously there
was no system_comp.do, it was all contained in system_init.do
<p>Colm.
<p>Jonathan Bromley wrote:
&lt;blockquote TYPE=CITE&gt;"Yogi V." &lt;queryplease@netscape.net&gt; wrote in
<br>message <a href="news:3F01999F.60609@netscape.net">news:3F01999F.60609@netscape.net</a>...
<br>&gt;
<br>&gt; Hi - I am a newbie ModelSim 5.6 SE user and am trying to troubleshoot
<br>&gt; this error -
<br>&gt; ______________________________________________
<br>&gt; # -- Loading package standard
<br>&gt; # ** Error: (vcom-19) Failed to access library 'unisim' at "unisim".
<br>&gt; # No such file or directory.
<br>&gt; # ERROR: ../simulation/system_init.vhd(5): Library unisim not found.
<br>&gt; # ERROR: ../simulation/system_init.vhd(7): VHDL Compiler exiting
<br>&gt; # ERROR: C:/Modeltech_5.6/win32/vcom failed.
<br>&gt; # Error in macro ./system.do line 3
<br>&gt; ___________________________________________
<p>You have not yet created a mapping from logical library name
<br>"unisim" to the correct physical directory, so ModelSim guesses
<br>that you have put the unisim library in a directory "unisim"
<br>below the current working directory.&amp;nbsp; But there is no such
<br>directory, or if there is, it doesn't contain a valid library.
<p>&gt; # My system.do file is :
<br>&gt; do system_init.do
<br>&gt; vsim -c system_conf
<p>That doesn't help us a whole lot unless we know what's in
<br>system_init.do
<p>Check on how your unisim library has been compiled, and then
<br>issue the appropriate "vmap" command to ModelSim so that it
<br>knows where to find it.&amp;nbsp; Once you've done this, ModelSim will
<br>update its modelsim.ini file in the current working directory
<br>and everything will be alright the next time you try to run it.
<p>Alternatively, if you don't yet have a compiled unisim library,
<br>you need to make one:
<p>vlib unisim_library&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;
------ make the physical library
<br>vmap unisim unisim_library&amp;nbsp;&amp;nbsp;&amp;nbsp; ------ tell ModelSim its
name
<br>vcom -work unisim &lt;all the Unisim source files&gt;
<p>HTH
<br>--
<br>Jonathan Bromley, Consultant
<p>DOULOS - Developing Design Know-how
<br>VHDL * Verilog * SystemC * Perl * Tcl/Tk * Verification * Project Services
<p>Doulos Ltd. Church Hatch, 22 Market Place, Ringwood, Hampshire, BH24
1AW, UK
<br>Tel: +44 (0)1425 471223&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;
mail: jonathan.bromley@doulos.com
<br>Fax: +44 (0)1425 471573&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;&amp;nbsp;
Web: <a href="http://www.doulos.com">http://www.doulos.com</a>
<p>The contents of this message may contain personal views which
<br>are not the views of Doulos Ltd., unless specifically stated.&lt;/blockquote&gt;
&lt;/html&gt;
 
In your modelsim.ini file, in the VHDL Section, you should have a line like:

unisim = $MODEL_TECH/../xilinx/vhdl/unisim

HTH
John Moore
 

Welcome to EDABoard.com

Sponsor

Back
Top