Intel ModelSim Starter Edition is available free now!...

W

W TX

Guest
Hi,
Intel ModelSim Starter Edition is available free now!

https://fpgasoftware.intel.com/

10,000 line code limit, VHDL-2002 version, running speed is very very slow, but it is enough for debugging grammars. It needs to take 3 hours to download Starter Edition part 1 and part 2 of 7.3G, regardless of how your download speed is.

Weng
 
On 24/05/2021 12:21, W TX wrote:

Hi Weng,

Hi,
Intel ModelSim Starter Edition is available free now!

The starter edition has always been free, this is not the same as the
FPGA edition which cost $1995.

https://fpgasoftware.intel.com/

10,000 line code limit, VHDL-2002 version, running speed is very very slow, but it is enough for debugging grammars. It needs to take 3 hours to download Starter Edition part 1 and part 2 of 7.3G, regardless of how your download speed is.

It also supports VHDL2008. The speed is about 40% of the full Modelsim
PE/DE edition until you hit the instance limit then the simulation
grinds to a halt (1% speed of PE/DE).

There are other OEM releases which might give you some extra capacity if
you don\'t care about the vendor libraries, check out the Lattice and
MicroChip versions.

Hans
www.ht-lab.com


 
On Monday, May 24, 2021 at 5:37:24 AM UTC-7, HT-Lab wrote:
On 24/05/2021 12:21, W TX wrote:

Hi Weng,
Hi,
Intel ModelSim Starter Edition is available free now!
The starter edition has always been free, this is not the same as the
FPGA edition which cost $1995.

https://fpgasoftware.intel.com/

10,000 line code limit, VHDL-2002 version, running speed is very very slow, but it is enough for debugging grammars. It needs to take 3 hours to download Starter Edition part 1 and part 2 of 7.3G, regardless of how your download speed is.
It also supports VHDL2008. The speed is about 40% of the full Modelsim
PE/DE edition until you hit the instance limit then the simulation
grinds to a halt (1% speed of PE/DE).

There are other OEM releases which might give you some extra capacity if
you don\'t care about the vendor libraries, check out the Lattice and
MicroChip versions.

Hans
www.ht-lab.com



Weng

Hi Hans,

How to set up for VHDL-2008 for the Intel Starter edition? If it can be used for VHDL-2008, it will be great! Your information has great value to me.

I don\'t care about the vendor library. What their enhancement is?

Weng
 
On 24/05/2021 15:43, W TX wrote:
On Monday, May 24, 2021 at 5:37:24 AM UTC-7, HT-Lab wrote:
On 24/05/2021 12:21, W TX wrote:

...snip

Hi Hans,

Hi Weng
How to set up for VHDL-2008 for the Intel Starter edition? If it can be used for VHDL-2008, it will be great! Your information has great value to me.

There is documentation ;-)

vcom -help all
vcom -2008

> I don\'t care about the vendor library. What their enhancement is?

You need these if you want to instantiate primitives(buffer, PLL,..) in
your design and if you want to use pre-generated optimised IP block
(FIFO, Cordic,..).



Regards,
Hans.
www.ht-lab.com
 
On Monday, May 24, 2021 at 5:37:24 AM UTC-7, HT-Lab wrote:
On 24/05/2021 12:21, W TX wrote:

Hi Weng,
Hi,
Intel ModelSim Starter Edition is available free now!
The starter edition has always been free, this is not the same as the
FPGA edition which cost $1995.

https://fpgasoftware.intel.com/

10,000 line code limit, VHDL-2002 version, running speed is very very slow, but it is enough for debugging grammars. It needs to take 3 hours to download Starter Edition part 1 and part 2 of 7.3G, regardless of how your download speed is.
It also supports VHDL2008. The speed is about 40% of the full Modelsim
PE/DE edition until you hit the instance limit then the simulation
grinds to a halt (1% speed of PE/DE).

There are other OEM releases which might give you some extra capacity if
you don\'t care about the vendor libraries, check out the Lattice and
MicroChip versions.

Hans
www.ht-lab.com



Weng

Hi Hans,

How to set up for VHDL-2008 for the Intel Starter edition? If it can be used for VHDL-2008, it will be great! Your information has great value to me.

I don\'t care about the vendor library. What their enhancement is?

Weng
 
On 24/05/2021 15:43, W TX wrote:
On Monday, May 24, 2021 at 5:37:24 AM UTC-7, HT-Lab wrote:
On 24/05/2021 12:21, W TX wrote:

...snip

Hi Hans,

Hi Weng
How to set up for VHDL-2008 for the Intel Starter edition? If it can be used for VHDL-2008, it will be great! Your information has great value to me.

There is documentation ;-)

vcom -help all
vcom -2008

> I don\'t care about the vendor library. What their enhancement is?

You need these if you want to instantiate primitives(buffer, PLL,..) in
your design and if you want to use pre-generated optimised IP block
(FIFO, Cordic,..).



Regards,
Hans.
www.ht-lab.com
 
On 24/05/2021 12:21, W TX wrote:

Hi Weng,

Hi,
Intel ModelSim Starter Edition is available free now!

The starter edition has always been free, this is not the same as the
FPGA edition which cost $1995.

https://fpgasoftware.intel.com/

10,000 line code limit, VHDL-2002 version, running speed is very very slow, but it is enough for debugging grammars. It needs to take 3 hours to download Starter Edition part 1 and part 2 of 7.3G, regardless of how your download speed is.

It also supports VHDL2008. The speed is about 40% of the full Modelsim
PE/DE edition until you hit the instance limit then the simulation
grinds to a halt (1% speed of PE/DE).

There are other OEM releases which might give you some extra capacity if
you don\'t care about the vendor libraries, check out the Lattice and
MicroChip versions.

Hans
www.ht-lab.com


 
On Monday, May 24, 2021 at 11:10:42 AM UTC-7, HT-Lab wrote:
On 24/05/2021 15:43, W TX wrote:
On Monday, May 24, 2021 at 5:37:24 AM UTC-7, HT-Lab wrote:
On 24/05/2021 12:21, W TX wrote:

..snip

Hi Hans,

Hi Weng

How to set up for VHDL-2008 for the Intel Starter edition? If it can be used for VHDL-2008, it will be great! Your information has great value to me.
There is documentation ;-)

vcom -help all
vcom -2008
I don\'t care about the vendor library. What their enhancement is?
You need these if you want to instantiate primitives(buffer, PLL,..) in
your design and if you want to use pre-generated optimised IP block
(FIFO, Cordic,..).


Weng

,


Regards,
Hans.
www.ht-lab.com

Hi Hans,
Even I have used ModelSim for more than 20 years, but I never know and use any command lines. Your information is of great value to me.

I entered vcom -2008 before synthesizing everything, but it still failed to show 2008 features (an output port cannot be used internally.

How can I compile all or selective parts with -2008? Please list a few command lines for me.

Than you.

Weng
 
On Monday, May 24, 2021 at 11:10:42 AM UTC-7, HT-Lab wrote:
On 24/05/2021 15:43, W TX wrote:
On Monday, May 24, 2021 at 5:37:24 AM UTC-7, HT-Lab wrote:
On 24/05/2021 12:21, W TX wrote:

..snip

Hi Hans,

Hi Weng

How to set up for VHDL-2008 for the Intel Starter edition? If it can be used for VHDL-2008, it will be great! Your information has great value to me.
There is documentation ;-)

vcom -help all
vcom -2008
I don\'t care about the vendor library. What their enhancement is?
You need these if you want to instantiate primitives(buffer, PLL,..) in
your design and if you want to use pre-generated optimised IP block
(FIFO, Cordic,..).


Weng

,


Regards,
Hans.
www.ht-lab.com

Hi Hans,
Even I have used ModelSim for more than 20 years, but I never know and use any command lines. Your information is of great value to me.

I entered vcom -2008 before synthesizing everything, but it still failed to show 2008 features (an output port cannot be used internally.

How can I compile all or selective parts with -2008? Please list a few command lines for me.

Than you.

Weng
 
On Monday, May 24, 2021 at 11:10:42 AM UTC-7, HT-Lab wrote:
On 24/05/2021 15:43, W TX wrote:
On Monday, May 24, 2021 at 5:37:24 AM UTC-7, HT-Lab wrote:
On 24/05/2021 12:21, W TX wrote:

..snip

Hi Hans,

Hi Weng

How to set up for VHDL-2008 for the Intel Starter edition? If it can be used for VHDL-2008, it will be great! Your information has great value to me.
There is documentation ;-)

vcom -help all
vcom -2008
I don\'t care about the vendor library. What their enhancement is?
You need these if you want to instantiate primitives(buffer, PLL,..) in
your design and if you want to use pre-generated optimised IP block
(FIFO, Cordic,..).


Weng

,


Regards,
Hans.
www.ht-lab.com

Hi Hans,
Even I have used ModelSim for more than 20 years, but I never know and use any command lines. Your information is of great value to me.

I entered vcom -2008 before synthesizing everything, but it still failed to show 2008 features (an output port cannot be used internally.

How can I compile all or selective parts with -2008? Please list a few command lines for me.

Than you.

Weng
 
On Monday, May 24, 2021 at 11:10:42 AM UTC-7, HT-Lab wrote:
On 24/05/2021 15:43, W TX wrote:
On Monday, May 24, 2021 at 5:37:24 AM UTC-7, HT-Lab wrote:
On 24/05/2021 12:21, W TX wrote:

..snip

Hi Hans,

Hi Weng

How to set up for VHDL-2008 for the Intel Starter edition? If it can be used for VHDL-2008, it will be great! Your information has great value to me.
There is documentation ;-)

vcom -help all
vcom -2008
I don\'t care about the vendor library. What their enhancement is?
You need these if you want to instantiate primitives(buffer, PLL,..) in
your design and if you want to use pre-generated optimised IP block
(FIFO, Cordic,..).


Weng

,


Regards,
Hans.
www.ht-lab.com

Hi Hans,
Even I have used ModelSim for more than 20 years, but I never know and use any command lines. Your information is of great value to me.

I entered vcom -2008 before synthesizing everything, but it still failed to show 2008 features (an output port cannot be used internally.

How can I compile all or selective parts with -2008? Please list a few command lines for me.

Than you.

Weng
 
On Monday, May 24, 2021 at 3:28:28 PM UTC-7, Tianxiang Weng wrote:
On Monday, May 24, 2021 at 11:10:42 AM UTC-7, HT-Lab wrote:
On 24/05/2021 15:43, W TX wrote:
On Monday, May 24, 2021 at 5:37:24 AM UTC-7, HT-Lab wrote:
On 24/05/2021 12:21, W TX wrote:

..snip

Hi Hans,

Hi Weng

How to set up for VHDL-2008 for the Intel Starter edition? If it can be used for VHDL-2008, it will be great! Your information has great value to me.
There is documentation ;-)

vcom -help all
vcom -2008
I don\'t care about the vendor library. What their enhancement is?
You need these if you want to instantiate primitives(buffer, PLL,..) in
your design and if you want to use pre-generated optimised IP block
(FIFO, Cordic,..).


Weng

,


Regards,
Hans.
www.ht-lab.com
Hi Hans,
Even I have used ModelSim for more than 20 years, but I never know and use any command lines. Your information is of great value to me.

I entered vcom -2008 before synthesizing everything, but it still failed to show 2008 features (an output port cannot be used internally.

How can I compile all or selective parts with -2008? Please list a few command lines for me.

Than you.

Weng

Hi Hans,

I changed the file parameter from VHDL93 = 2002 to VHDL93 = 2008 at *.mpf, it still shows errors related to VHDL-2008: cannot read output port \"Error_O\". I used the feather of 2008 to test if I can use 2008. It seems that Starter Edition has shut down the 2008 functions.

I think you may not really use Starter Edition once. It is natural for the Starter Edition not to include 2008 advanced features.

I tried to change another feature at *mpf file:

; Show source line containing error. Default is off.
Show_source = 1

After the change, It still does not show the source code.

I am waiting for your answer. I write all my code in VHDL-2008, and I spent the last several days changing it back to VHDL-2002, and the work is half-finished now. It seems to me that I have to continue my coding in agreement with VHDL-2002.

Thank you.

Weng
 
On Monday, May 24, 2021 at 3:28:28 PM UTC-7, Tianxiang Weng wrote:
On Monday, May 24, 2021 at 11:10:42 AM UTC-7, HT-Lab wrote:
On 24/05/2021 15:43, W TX wrote:
On Monday, May 24, 2021 at 5:37:24 AM UTC-7, HT-Lab wrote:
On 24/05/2021 12:21, W TX wrote:

..snip

Hi Hans,

Hi Weng

How to set up for VHDL-2008 for the Intel Starter edition? If it can be used for VHDL-2008, it will be great! Your information has great value to me.
There is documentation ;-)

vcom -help all
vcom -2008
I don\'t care about the vendor library. What their enhancement is?
You need these if you want to instantiate primitives(buffer, PLL,..) in
your design and if you want to use pre-generated optimised IP block
(FIFO, Cordic,..).


Weng

,


Regards,
Hans.
www.ht-lab.com
Hi Hans,
Even I have used ModelSim for more than 20 years, but I never know and use any command lines. Your information is of great value to me.

I entered vcom -2008 before synthesizing everything, but it still failed to show 2008 features (an output port cannot be used internally.

How can I compile all or selective parts with -2008? Please list a few command lines for me.

Than you.

Weng

Hi Hans,

I changed the file parameter from VHDL93 = 2002 to VHDL93 = 2008 at *.mpf, it still shows errors related to VHDL-2008: cannot read output port \"Error_O\". I used the feather of 2008 to test if I can use 2008. It seems that Starter Edition has shut down the 2008 functions.

I think you may not really use Starter Edition once. It is natural for the Starter Edition not to include 2008 advanced features.

I tried to change another feature at *mpf file:

; Show source line containing error. Default is off.
Show_source = 1

After the change, It still does not show the source code.

I am waiting for your answer. I write all my code in VHDL-2008, and I spent the last several days changing it back to VHDL-2002, and the work is half-finished now. It seems to me that I have to continue my coding in agreement with VHDL-2002.

Thank you.

Weng
 
On Monday, May 24, 2021 at 3:28:28 PM UTC-7, Tianxiang Weng wrote:
On Monday, May 24, 2021 at 11:10:42 AM UTC-7, HT-Lab wrote:
On 24/05/2021 15:43, W TX wrote:
On Monday, May 24, 2021 at 5:37:24 AM UTC-7, HT-Lab wrote:
On 24/05/2021 12:21, W TX wrote:

..snip

Hi Hans,

Hi Weng

How to set up for VHDL-2008 for the Intel Starter edition? If it can be used for VHDL-2008, it will be great! Your information has great value to me.
There is documentation ;-)

vcom -help all
vcom -2008
I don\'t care about the vendor library. What their enhancement is?
You need these if you want to instantiate primitives(buffer, PLL,..) in
your design and if you want to use pre-generated optimised IP block
(FIFO, Cordic,..).


Weng

,


Regards,
Hans.
www.ht-lab.com
Hi Hans,
Even I have used ModelSim for more than 20 years, but I never know and use any command lines. Your information is of great value to me.

I entered vcom -2008 before synthesizing everything, but it still failed to show 2008 features (an output port cannot be used internally.

How can I compile all or selective parts with -2008? Please list a few command lines for me.

Than you.

Weng

Hi Hans,

I changed the file parameter from VHDL93 = 2002 to VHDL93 = 2008 at *.mpf, it still shows errors related to VHDL-2008: cannot read output port \"Error_O\". I used the feather of 2008 to test if I can use 2008. It seems that Starter Edition has shut down the 2008 functions.

I think you may not really use Starter Edition once. It is natural for the Starter Edition not to include 2008 advanced features.

I tried to change another feature at *mpf file:

; Show source line containing error. Default is off.
Show_source = 1

After the change, It still does not show the source code.

I am waiting for your answer. I write all my code in VHDL-2008, and I spent the last several days changing it back to VHDL-2002, and the work is half-finished now. It seems to me that I have to continue my coding in agreement with VHDL-2002.

Thank you.

Weng
 
On Monday, May 24, 2021 at 3:28:28 PM UTC-7, Tianxiang Weng wrote:
On Monday, May 24, 2021 at 11:10:42 AM UTC-7, HT-Lab wrote:
On 24/05/2021 15:43, W TX wrote:
On Monday, May 24, 2021 at 5:37:24 AM UTC-7, HT-Lab wrote:
On 24/05/2021 12:21, W TX wrote:

..snip

Hi Hans,

Hi Weng

How to set up for VHDL-2008 for the Intel Starter edition? If it can be used for VHDL-2008, it will be great! Your information has great value to me.
There is documentation ;-)

vcom -help all
vcom -2008
I don\'t care about the vendor library. What their enhancement is?
You need these if you want to instantiate primitives(buffer, PLL,..) in
your design and if you want to use pre-generated optimised IP block
(FIFO, Cordic,..).


Weng

,


Regards,
Hans.
www.ht-lab.com
Hi Hans,
Even I have used ModelSim for more than 20 years, but I never know and use any command lines. Your information is of great value to me.

I entered vcom -2008 before synthesizing everything, but it still failed to show 2008 features (an output port cannot be used internally.

How can I compile all or selective parts with -2008? Please list a few command lines for me.

Than you.

Weng

Hi Hans,

I changed the file parameter from VHDL93 = 2002 to VHDL93 = 2008 at *.mpf, it still shows errors related to VHDL-2008: cannot read output port \"Error_O\". I used the feather of 2008 to test if I can use 2008. It seems that Starter Edition has shut down the 2008 functions.

I think you may not really use Starter Edition once. It is natural for the Starter Edition not to include 2008 advanced features.

I tried to change another feature at *mpf file:

; Show source line containing error. Default is off.
Show_source = 1

After the change, It still does not show the source code.

I am waiting for your answer. I write all my code in VHDL-2008, and I spent the last several days changing it back to VHDL-2002, and the work is half-finished now. It seems to me that I have to continue my coding in agreement with VHDL-2002.

Thank you.

Weng
 
On Monday, May 24, 2021 at 4:15:35 PM UTC-7, Tianxiang Weng wrote:
On Monday, May 24, 2021 at 3:28:28 PM UTC-7, Tianxiang Weng wrote:
On Monday, May 24, 2021 at 11:10:42 AM UTC-7, HT-Lab wrote:
On 24/05/2021 15:43, W TX wrote:
On Monday, May 24, 2021 at 5:37:24 AM UTC-7, HT-Lab wrote:
On 24/05/2021 12:21, W TX wrote:

..snip

Hi Hans,

Hi Weng

How to set up for VHDL-2008 for the Intel Starter edition? If it can be used for VHDL-2008, it will be great! Your information has great value to me.
There is documentation ;-)

vcom -help all
vcom -2008
I don\'t care about the vendor library. What their enhancement is?
You need these if you want to instantiate primitives(buffer, PLL,..) in
your design and if you want to use pre-generated optimised IP block
(FIFO, Cordic,..).


Weng

,


Regards,
Hans.
www.ht-lab.com
Hi Hans,
Even I have used ModelSim for more than 20 years, but I never know and use any command lines. Your information is of great value to me.

I entered vcom -2008 before synthesizing everything, but it still failed to show 2008 features (an output port cannot be used internally.

How can I compile all or selective parts with -2008? Please list a few command lines for me.

Than you.

Weng
Hi Hans,

I changed the file parameter from VHDL93 = 2002 to VHDL93 = 2008 at *..mpf, it still shows errors related to VHDL-2008: cannot read output port \"Error_O\". I used the feather of 2008 to test if I can use 2008. It seems that Starter Edition has shut down the 2008 functions.

I think you may not really use Starter Edition once. It is natural for the Starter Edition not to include 2008 advanced features.

I tried to change another feature at *mpf file:

; Show source line containing error. Default is off.
Show_source = 1

After the change, It still does not show the source code.

I am waiting for your answer. I write all my code in VHDL-2008, and I spent the last several days changing it back to VHDL-2002, and the work is half-finished now. It seems to me that I have to continue my coding in agreement with VHDL-2002.

Thank you.

Weng

Sorry,

I found an error in my last post:

I changed another feature at *mpf file:
Show source line containing error. Default is off.
> Show_source = 1

After the change, It does show the source code.

Weng
 
On Monday, May 24, 2021 at 4:15:35 PM UTC-7, Tianxiang Weng wrote:
On Monday, May 24, 2021 at 3:28:28 PM UTC-7, Tianxiang Weng wrote:
On Monday, May 24, 2021 at 11:10:42 AM UTC-7, HT-Lab wrote:
On 24/05/2021 15:43, W TX wrote:
On Monday, May 24, 2021 at 5:37:24 AM UTC-7, HT-Lab wrote:
On 24/05/2021 12:21, W TX wrote:

..snip

Hi Hans,

Hi Weng

How to set up for VHDL-2008 for the Intel Starter edition? If it can be used for VHDL-2008, it will be great! Your information has great value to me.
There is documentation ;-)

vcom -help all
vcom -2008
I don\'t care about the vendor library. What their enhancement is?
You need these if you want to instantiate primitives(buffer, PLL,..) in
your design and if you want to use pre-generated optimised IP block
(FIFO, Cordic,..).


Weng

,


Regards,
Hans.
www.ht-lab.com
Hi Hans,
Even I have used ModelSim for more than 20 years, but I never know and use any command lines. Your information is of great value to me.

I entered vcom -2008 before synthesizing everything, but it still failed to show 2008 features (an output port cannot be used internally.

How can I compile all or selective parts with -2008? Please list a few command lines for me.

Than you.

Weng
Hi Hans,

I changed the file parameter from VHDL93 = 2002 to VHDL93 = 2008 at *..mpf, it still shows errors related to VHDL-2008: cannot read output port \"Error_O\". I used the feather of 2008 to test if I can use 2008. It seems that Starter Edition has shut down the 2008 functions.

I think you may not really use Starter Edition once. It is natural for the Starter Edition not to include 2008 advanced features.

I tried to change another feature at *mpf file:

; Show source line containing error. Default is off.
Show_source = 1

After the change, It still does not show the source code.

I am waiting for your answer. I write all my code in VHDL-2008, and I spent the last several days changing it back to VHDL-2002, and the work is half-finished now. It seems to me that I have to continue my coding in agreement with VHDL-2002.

Thank you.

Weng

Sorry,

I found an error in my last post:

I changed another feature at *mpf file:
Show source line containing error. Default is off.
> Show_source = 1

After the change, It does show the source code.

Weng
 
On Monday, May 24, 2021 at 4:15:35 PM UTC-7, Tianxiang Weng wrote:
On Monday, May 24, 2021 at 3:28:28 PM UTC-7, Tianxiang Weng wrote:
On Monday, May 24, 2021 at 11:10:42 AM UTC-7, HT-Lab wrote:
On 24/05/2021 15:43, W TX wrote:
On Monday, May 24, 2021 at 5:37:24 AM UTC-7, HT-Lab wrote:
On 24/05/2021 12:21, W TX wrote:

..snip

Hi Hans,

Hi Weng

How to set up for VHDL-2008 for the Intel Starter edition? If it can be used for VHDL-2008, it will be great! Your information has great value to me.
There is documentation ;-)

vcom -help all
vcom -2008
I don\'t care about the vendor library. What their enhancement is?
You need these if you want to instantiate primitives(buffer, PLL,..) in
your design and if you want to use pre-generated optimised IP block
(FIFO, Cordic,..).


Weng

,


Regards,
Hans.
www.ht-lab.com
Hi Hans,
Even I have used ModelSim for more than 20 years, but I never know and use any command lines. Your information is of great value to me.

I entered vcom -2008 before synthesizing everything, but it still failed to show 2008 features (an output port cannot be used internally.

How can I compile all or selective parts with -2008? Please list a few command lines for me.

Than you.

Weng
Hi Hans,

I changed the file parameter from VHDL93 = 2002 to VHDL93 = 2008 at *..mpf, it still shows errors related to VHDL-2008: cannot read output port \"Error_O\". I used the feather of 2008 to test if I can use 2008. It seems that Starter Edition has shut down the 2008 functions.

I think you may not really use Starter Edition once. It is natural for the Starter Edition not to include 2008 advanced features.

I tried to change another feature at *mpf file:

; Show source line containing error. Default is off.
Show_source = 1

After the change, It still does not show the source code.

I am waiting for your answer. I write all my code in VHDL-2008, and I spent the last several days changing it back to VHDL-2002, and the work is half-finished now. It seems to me that I have to continue my coding in agreement with VHDL-2002.

Thank you.

Weng

Sorry,

I found an error in my last post:

I changed another feature at *mpf file:
Show source line containing error. Default is off.
> Show_source = 1

After the change, It does show the source code.

Weng
 
On Monday, May 24, 2021 at 4:15:35 PM UTC-7, Tianxiang Weng wrote:
On Monday, May 24, 2021 at 3:28:28 PM UTC-7, Tianxiang Weng wrote:
On Monday, May 24, 2021 at 11:10:42 AM UTC-7, HT-Lab wrote:
On 24/05/2021 15:43, W TX wrote:
On Monday, May 24, 2021 at 5:37:24 AM UTC-7, HT-Lab wrote:
On 24/05/2021 12:21, W TX wrote:

..snip

Hi Hans,

Hi Weng

How to set up for VHDL-2008 for the Intel Starter edition? If it can be used for VHDL-2008, it will be great! Your information has great value to me.
There is documentation ;-)

vcom -help all
vcom -2008
I don\'t care about the vendor library. What their enhancement is?
You need these if you want to instantiate primitives(buffer, PLL,..) in
your design and if you want to use pre-generated optimised IP block
(FIFO, Cordic,..).


Weng

,


Regards,
Hans.
www.ht-lab.com
Hi Hans,
Even I have used ModelSim for more than 20 years, but I never know and use any command lines. Your information is of great value to me.

I entered vcom -2008 before synthesizing everything, but it still failed to show 2008 features (an output port cannot be used internally.

How can I compile all or selective parts with -2008? Please list a few command lines for me.

Than you.

Weng
Hi Hans,

I changed the file parameter from VHDL93 = 2002 to VHDL93 = 2008 at *..mpf, it still shows errors related to VHDL-2008: cannot read output port \"Error_O\". I used the feather of 2008 to test if I can use 2008. It seems that Starter Edition has shut down the 2008 functions.

I think you may not really use Starter Edition once. It is natural for the Starter Edition not to include 2008 advanced features.

I tried to change another feature at *mpf file:

; Show source line containing error. Default is off.
Show_source = 1

After the change, It still does not show the source code.

I am waiting for your answer. I write all my code in VHDL-2008, and I spent the last several days changing it back to VHDL-2002, and the work is half-finished now. It seems to me that I have to continue my coding in agreement with VHDL-2002.

Thank you.

Weng

Sorry,

I found an error in my last post:

I changed another feature at *mpf file:
Show source line containing error. Default is off.
> Show_source = 1

After the change, It does show the source code.

Weng
 
On 25/05/2021 06:18, Tianxiang Weng wrote:
...
Thank you.

Weng

Sorry,

I found an error in my last post:

I changed another feature at *mpf file:
Show source line containing error. Default is off.
Show_source = 1

After the change, It does show the source code.

Weng

Hi Weng,

VHDL2008 is definitely supported. The OEM versions are restricted in
speed and lack some advance features like Code Coverage, Waveform
compare, assertions etc but the language support is the same as the full
version.

If I may give you some advice, ditch the project option in Modelsim as
it will start to work against you at some time....now. Just create a .do
or .tcl file and you will get much better control and you can see
exactly what is happening.

Good luck,

Hans
www.ht-lab.com
 

Welcome to EDABoard.com

Sponsor

Back
Top