how to solve the error of "Unable to locate'spectre' executa

Guest
I am using cadence virtuoso custom ic design v6.1.2.

When I try to do simulation, it gives me error as:

"Unable to locate'spectre' executable in the specified path. To set
the
correct path, use setShellEnvVar() in CIW."

Is there anything related to set the MMSIM?
 
Yes I think so !
spectre comes with the MMSIM stream indeed. the spectre executable is
no longer supported in the IC61 tree.
I would advice to ask your PDK or CAD flow engineer to update your PDK/
UNIX settings so your PATH env variable includes the MMSIM stream by
default.
something like:

# in bourne shell
MMSIMHOME="MY_MMSIM_INSTALL_PATH"
export MMSIMHOME
PATH="$MMSIMHOME/tools/bin:$PATH"
export PATH

# or in C-shell
setenv MMSIMHOME MY_MMSIM_INSTALL_PATH
setenv PATH $MMSIMHOME/tools/bin:$PATH

Cheers,
Riad.
 
On Jul 24, 11:49 am, Riad KACED <riad.ka...@gmail.com> wrote:
Yes I think so !
spectre comes with the MMSIM stream indeed. the spectre executable is
no longer supported in the IC61 tree.
I would advice to ask your PDK or CAD flow engineer to update your PDK/
UNIX settings so your PATH env variable includes the MMSIM stream by
default.
something like:

# in bourne shell
MMSIMHOME="MY_MMSIM_INSTALL_PATH"
export MMSIMHOME
PATH="$MMSIMHOME/tools/bin:$PATH"
export PATH

# or in C-shell
setenv MMSIMHOME MY_MMSIM_INSTALL_PATH
setenv PATH $MMSIMHOME/tools/bin:$PATH

Cheers,
Riad.
Thank you :)
 
Il giorno giovedĂŹ 24 luglio 2008 11:39:17 UTC-7, baobao ha scritto:
On Jul 24, 11:49 am, Riad KACED <riad.ka...@gmail.com> wrote:
Yes I think so !
spectre comes with the MMSIM stream indeed. the spectre executable is
no longer supported in the IC61 tree.
I would advice to ask your PDK or CAD flow engineer to update your PDK/
UNIX settings so your PATH env variable includes the MMSIM stream by
default.
something like:

# in bourne shell
MMSIMHOME="MY_MMSIM_INSTALL_PATH"
export MMSIMHOME
PATH="$MMSIMHOME/tools/bin:$PATH"
export PATH

# or in C-shell
setenv MMSIMHOME MY_MMSIM_INSTALL_PATH
setenv PATH $MMSIMHOME/tools/bin:$PATH

Cheers,
Riad.

Thank you :)

Did it worked?
 

Welcome to EDABoard.com

Sponsor

Back
Top