Hands on PCI interface ...

Guest
Interfacing via ISA bus is very easy but slow and it is getting difficult to
find ISA based PCs.
USB bus easy to use but its packet stucture and therefore the latency is an
issue for some real-time applications.

So, I want to learn how to build and work/play with PCI interfaces. Can you
suggest what is the easiest and low-cost way of involving with the PCI bus
to learn with hands on experiments?
 
Nico wrote:
Interfacing via ISA bus is very easy but slow and it is getting difficult to
find ISA based PCs.
USB bus easy to use but its packet stucture and therefore the latency is an
issue for some real-time applications.

So, I want to learn how to build and work/play with PCI interfaces. Can you
suggest what is the easiest and low-cost way of involving with the PCI bus
to learn with hands on experiments?
Try PLX Technology, www.plxtech.com. They make PCI bus interface chips
and they have eval boards and reference design kits for their parts.
I have used their parts on several projects and been very satisfied.

Dave Rooney
 
"Dave Rooney" <rooney@adi.com> wrote in message
news:ctr35j$i74$1@bluegill.adi.com...
Nico wrote:
Interfacing via ISA bus is very easy but slow and it is getting
difficult to
find ISA based PCs.
USB bus easy to use but its packet stucture and therefore the latency is
an
issue for some real-time applications.

So, I want to learn how to build and work/play with PCI interfaces. Can
you
suggest what is the easiest and low-cost way of involving with the PCI
bus
to learn with hands on experiments?

Try PLX Technology, www.plxtech.com. They make PCI bus interface chips
and they have eval boards and reference design kits for their parts.
I have used their parts on several projects and been very satisfied.
For production (not playing around) I'd also take a look at QuickLogic.
Another approach is a FPGA - and possibly som IP...

/Anders
 
On Wed, 02 Feb 2005 19:34:29 GMT, nico@puntnl.niks (Nico Coesel) wrote:

Creating a PCI implementation is difficult, but there are numerous
ways to get a PCI core or bridge (like the PLX chips). No need to
bother with tedious timing.
The PCI specification can be downloaded from several sites. It just
comes down to a proper PCB layout on the PCI side.

The fastest way to get started with PCI is using a PLX chip.
It's just not a hobbyist thing, frankly. The ISA is dirt easy and anyone can do
it.

Jon
 
Nico wrote:
Interfacing via ISA bus is very easy but slow and it is getting
difficult to find ISA based PCs.
USB bus easy to use but its packet stucture and therefore the latency
is an issue for some real-time applications.

So, I want to learn how to build and work/play with PCI interfaces.
Can you suggest what is the easiest and low-cost way of involving
with the PCI bus to learn with hands on experiments?
http://www.fpga4fun.com/PCI.html
 
On Wed, 02 Feb 2005 23:43:25 +0100, Lasse Langwadt Christensen
<langwadt@ieee.org> wrote:

AFAIK the PLX chips have a rather straight-forward backend bus, and I think some
of them can even do PCI to ISA if you really want to, so apart from the requirement that
you need to have a decent PCB if you want it to work reliably it shouldn't
be that difficult and PLX most likely have an app-note on how the PCB should look.
There is still a high learning curve if something does NOT work as you expect it
to. You need the tools and the knowledge.

Of course, if everything works right out of the box, so to speak, then no
problem. But then reality does impinge.

I still do NOT consider PCI development to be hobbyist stuff.

Jon
 
On Wed, 02 Feb 2005 19:00:33 +0000, Jonathan Kirwan wrote:

On Thu, 3 Feb 2005 04:26:11 +1100, <Nico> wrote:

Interfacing via ISA bus is very easy but slow and it is getting difficult to
find ISA based PCs.

This is the reason I keep ISA bus based PCs around and working.

USB bus easy to use but its packet stucture and therefore the latency is an
issue for some real-time applications.

So, I want to learn how to build and work/play with PCI interfaces. Can you
suggest what is the easiest and low-cost way of involving with the PCI bus
to learn with hands on experiments?

Well, ponying up to PCI will not be cheap. First, you need to understand the
difference between reflection wave and incident wave -- PCI is reflection wave.
You really don't have to get into it in that detail unless you're pushing
the envelope.

Second, there are very tight constraints implied by the technology. Your
PCI clock line must be 1.5" +/- 0.1" in length,
2.5" +- /1", though that isn't generally a problem. For a product, sure.

signal lines are to be less than 2.5" (if memory serves),
Must be less than .75", IIRC. They really need to be as short as
possible. 2.5" likely *won't* work. Stubs are badness!

and you will often find weird
serpentine clock lines to meet that 1.5" requirement.
Yes, almost always (2.5").

Third, because of
the loading requirements (at 33MHz, some 10pF total; at 66Mhz, 5pF), you
will be using an ASIC. No discrete logic with multiple loads on single
lines, for example.
Yes, and the receivers aren't typical CMOS. Many FPGAs have PCI I/O.
Either is a bad plan for one-off designs though. As mentioned before in
this thread, PLX bridges are the way to go. They have PCI<->ISA brifges
that work quite well. There are also PCI<->ISA cards.

Fourth, you will pay much more dearly for
instruments that can monitor and display PCI bus signals.
Not really true. There are some relatively cheap bus monitors. If one
sticks to a known bridge design a scope is all that's needed. I got a
PLX-9054 based card running with no more than a scope.

Fifth, PCI mandates plug-and-play and certain minimum register
requirements and the ability to assign block addresses, if needed.
Another reason to go with a known design. ;-)

You can get low cost ASICs.
Not ASICs. ASSPs (Application Specific Standard Products).

But the rest makes this not low-cost and
there is a high threshold of knowledge required, as well. PCI was
almost designed from the ground up to exclude basement developers.
Designed to exclude? Are you implying that they intentionally raised the
entry bar? That's some charge! There is a reason for complication. It
makes life simpler. ;-)

--
Keith
 
If anyone actually wants to play with ISA design, I have a 16 bit ISA proto card
gathering dust. It's a JDR Microdevices PDS-611:

http://www.jdr.com/interact/item.asp?itemno=PDS-611

All docs available, for sale for $41.44 (or half of whatever JDR wants that
day) plus shipping from New York.

-Chris

--
==========================================================
Chris Candreva -- chris@westnet.com -- (914) 967-7816
WestNet Internet Services of Westchester
http://www.westnet.com/
 
On Wed, 02 Feb 2005 21:49:56 -0500, keith <krw@att.bizzzz> wrote:

It's certainly within the upper-end hobbyist's realm. ...at least with
the PLX bridge chips or prototype cards.
There, I may agree.

Jon
 
Nico wrote:
So, I want to learn how to build and work/play with PCI interfaces. Can you
suggest what is the easiest and low-cost way of involving with the PCI bus
to learn with hands on experiments?
Like most other people, I'd recommend PLX, it's cheap enough especially
for simple targets (no bus mastering). You probably won't understand the
PCI bus much more after you've done it though- you are insulated from
all that if you just follow the reference design.

For drivers, TVicH co0mes to mind, it's shareware, so you get a bother
screen until you buy it, but it's reasonably cheap.

Paul Burke
 
Keith wrote:

They have PCI<->ISA brifges that work
quite well. There are also PCI<->ISA cards
Can you let me know where I can get a PCI to
ISA card?

Modern computer with PCI only, need to plug
in an ISA card.

Rich
 
<aiiadict@gmail.com> wrote in message
news:1107472353.146712.193780@c13g2000cwb.googlegroups.com...
Keith wrote:

They have PCI<->ISA brifges that work
quite well. There are also PCI<->ISA cards

Can you let me know where I can get a PCI to
ISA card?

Modern computer with PCI only, need to plug
in an ISA card.

Rich
Generic cards are hard to come by and probably wouldn't work. There can only
be one PCI-to-ISA bridge in a system and that's usually in the chipset
(nowdays it is the bridge towards the LPC bus). PLX has a board with the
PCI9052 that has an ISA bus slot on it (the PCI 9052RDK-LITE for $299) but
that's far from being a universal solution.

Regards,
Andras Tantos
 
On 3 Feb 2005 15:12:33 -0800, aiiadict@gmail.com wrote:

Modern computer with PCI only, need to plug in an ISA card.
The southbridge or PCI-ISA bridge chip can only exist with "side-band" channels
to the main chipset. There is only one of these possible, and then only if the
rest of the chipset supports the southbridge concept. The side-band channels do
not exist as signals on the PCI bus, so I don't believe that it would be
possible to do a PCI board that provides full ISA -- more particularly, support
for ISA DMA. You might be able to get by with some specialized FPGA or ASIC for
the purposes of a reduced ISA feature set connecting to the PCI (no DMA and with
subtractive decoding for the ISA address space.)

I haven't heard of such a thing, though.

Jon
 
In article <1107472353.146712.193780@c13g2000cwb.googlegroups.com>,
aiiadict@gmail.com says...
Keith wrote:

They have PCI<->ISA brifges that work
quite well. There are also PCI<->ISA cards

Can you let me know where I can get a PCI to
ISA card?

Modern computer with PCI only, need to plug
in an ISA card.
I've run across such things occasionally. Do a web search on '"PCI to
ISA" + card' or some such. A quick search found these (rather
expensive) external chassis PCI to ISA extenders and I've seen others
(no idea how well any work):

http://www.accesio.com/go.cgi?p=../bus_exp/pci-isa.html
http://www.cyberresearch.com/store/product/3228.2.htm

PCI->ISA Bridge kits:

http://www.costronic.com/Ev71p.htm

--
Keith
 
On Fri, 4 Feb 2005 09:53:19 -0500, Keith Williams <krw@att.bizzzz> wrote:

The southbridge or PCI-ISA bridge chip can only exist with "side-band" channels
to the main chipset.

No side-band channels/signals are needed.
Why do you say this? It's certainly been true for as long as I've worked on
these chipsets. That does date back to the P2, but have things changed? I
doubt it.

Jon
 
In article <ink701dhanogqu5kvljdad31qr36s2fil6@4ax.com>,
jkirwan@easystreet.com says...
On Fri, 4 Feb 2005 09:53:19 -0500, Keith Williams <krw@att.bizzzz> wrote:

The southbridge or PCI-ISA bridge chip can only exist with "side-band" channels
to the main chipset.

No side-band channels/signals are needed.

Why do you say this? It's certainly been true for as long as I've worked on
these chipsets. That does date back to the P2, but have things changed? I
doubt it.
No sideband signals are in the PCI spec (they wouldn't be
"sideband" ;-). Certainly the southbridge and subtractive decoding are
covered.

--
Keith
 
How about this?

A modern PC motherboard with ISA slots?
I am looking for the fastest processor speed
available.

Rich
 
On Fri, 04 Feb 2005 12:25:07 -0800, aiiadict wrote:

How about this?

A modern PC motherboard with ISA slots?
I am looking for the fastest processor speed
available.
How about this?
http://www.google.com/search?q=isa+bus+motherboard

Cheers!
Rich
 
Hi,

I was asked to look for ISA MB with
decent speed by a co-worker. I
had assumed he did a google
search and came up with nothing.

Thanks for the tip, and I will always
second-guess co-workers in the
future!

Rich
 
On 4 Feb 2005 12:25:07 -0800, aiiadict@gmail.com wrote:


A modern PC motherboard with ISA slots?
I am looking for the fastest processor speed
available.
Search for "passive backplane" in which case the CPU plug in card
contains most of the functionality these days.

Also some ITX size motherboards have PC/104 connectors, so I would not
be too surprised, if some even contained an ISA connector instead.

Paul
 

Welcome to EDABoard.com

Sponsor

Back
Top