Could somebody introduce some VHDL books for a beginner?

P

Peng Yu

Guest
Hi,
I'm new to VHDL. Could somebody introduce some VHDL books for a beginner?
I know there are a lot of book in the FAQ. But I don't know which one is the best?
Peng
 

Welcome to EDABoard.com

Sponsor

Back
Top