S
Simone Winkler
Guest
Hello!
I tried to do a RS-flip-flop with nor-gates in VHDL. But i got the following
error message:
Parameter notq of mode out can not be associated with a f
ormal parameter of mode in.
the vhdl code was:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity rs is
Port ( S : in std_logic;
R : in std_logic;
Q : out std_logic;
notQ : out std_logic);
end rs;
architecture Behavioral of rs is
begin
Q <= S nor notQ;
notQ <= R nor Q;
end Behavioral;
....it was just to try the functionality of vhdl......
what can i do to solve this problem?
thanx...
I tried to do a RS-flip-flop with nor-gates in VHDL. But i got the following
error message:
Parameter notq of mode out can not be associated with a f
ormal parameter of mode in.
the vhdl code was:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity rs is
Port ( S : in std_logic;
R : in std_logic;
Q : out std_logic;
notQ : out std_logic);
end rs;
architecture Behavioral of rs is
begin
Q <= S nor notQ;
notQ <= R nor Q;
end Behavioral;
....it was just to try the functionality of vhdl......
what can i do to solve this problem?
thanx...